火曜日, 6月 26, 2007

[OVLチェッカー] ovl_never

ovl_neverは評価式が常に真ではないことを保証します。

ovl_never check_overflow (clock, reset, enable, (count>9), fire);

このように書くと、countの値が10以上になったときにassertionがfailとなり、メッセージが出力されます。

また、assertionがfailとなったサイクルでは、fire[0]が真(1)にセットされます。
これはその他のチェッカーも同様です。

デフォルトでは、評価式(test_expr)が0で無い場合(1,x,z)にassertionがfailとなりますが
`OVL_XCHECK_OFFがセットされていると、評価式が1のときのみfailとなります。

0 件のコメント: