火曜日, 2月 12, 2008

DoxygenがVHDLをサポート

Doxygen開始支援VHDL了

Gary的Digital Design日誌から。

中国語を読めるわけではありませんが見たままですね。

DoxygenでVHDLがサポートされるようになったとのこと。

なぜ、Verilog HDLよりも先に…

試していないのでどんな感じかはわかりません。
最近VHDLを使ってないので。

VHDL使いの方は一度試してみては?

8 件のコメント:

Unknown さんのコメント...

こんにちは。
VHDLのドキュメントが生成できると非常にありがたいので、やってみたいと思います。

Ein さんのコメント...

marseeさんはVHDLも使ってましたよね。

今度、使ってみた感想をブログにお願いします。

Unknown さんのコメント...

試してみましたが、面倒なので、あきらめました。
それにVHDLの資料が少ないので、どう書いて良いのか、良くわかりませんでした。
ソースコードもHTMLになって、ドキュメントからリファレンスしてくれると良いのですが。。。

Ein さんのコメント...

そうですか。面倒でしたか。

確かに現状はweb上でも情報が少なそうです。

Verilog HDLに対応していれば私も試してみようと思ったのですが。。。

Unknown さんのコメント...

もう一度、Doxygenを使ってみました。
カットアンドトライでやったので、うまくかけているかどうかはわかりません。
まだ、継承関係はうまくかけないのですが、ある程度ドキュメント化することができたので、ブログに書こうか?と思っています。

Ein さんのコメント...

marseeさん

ドキュメント化できましたか。
おめでとうございます。

Verilog HDLのv2htmlのような感じなんですかね?

個人的には状態遷移図なんかをグラフィカルに出力してくれると嬉しいんですがさすがにそこまではやってくれないでしょうね。

Unknown さんのコメント...

こんにちは。
DoxygenでVHDLのドキュメント化をした結果をブログに書いてみました。
まだまだ、便利な機能がありそうです。

macitakai さんのコメント...

The first place to bet online on cricket, rugby, - DrmCD
It's 김포 출장안마 hard to 군산 출장샵 imagine what a 통영 출장안마 sportsbook would 울산광역 출장샵 look 고양 출장샵 like if you could put money on it. A major gambling operator is also investing in