月曜日, 11月 12, 2007

Icarus Verilog & GTKWave for Windows

このブログで使っているシミュレータは基本的にModelSimXE Staterなのですが、以前の記事でも書いたように、商用シミュレータの無償版はコードサイズに制限がある場合が多いと思います。

まあそれでも、自分でコーディングをする範囲であれば、勉強目的では十分ですし実際に仕事でもそこそこ使えるはずです。

しかし最近はオープンなIPコアなどもさまざまなものが入手可能になり
先のLatticeMico32のような大規模なコアでは
それだけでコードサイズの制限を越えるようなものもあります。

そのような場合に使えるフリーなシミュレータがIcarus Verilogです。
ModelSimのようなGUIのインタフェースはありませんが、コードサイズによる制限などはもちろんありません。
最近はドキュメントも(英語ですが)充実しつつあるように思います。
実際、業務で使用されているところもあるようです。

Windows環境では、cygwinなどでコンパイルも可能なようですが
コンパイル済みのものが↓こちらで配布されているのでそれを使ったほうが手っ取り早いと思います。

Icarus Verilog for Windows

波形はVCDなどをダンプして、GTKWaveでみることができます。
こちらもWindows版が配布されています。

http://www.dspia.com/gtkwave.html

DDLも一緒にダウンロードして、解凍したディレクトリのgtkw\binにgtkwave.exeをおけば使えるようになります。
パスを通すのを忘れずに。

こちらは、アプリケーションの起動はコマンドラインからになりますが
操作はModelSimのWaveウィンドウのようにGUIで扱えます。
以前のWindows版は使いづらかった記憶があるのですが
現在のものは使い勝手がだいぶ向上しているようです。


上記の2つはソースコードも配布されているので
ガンバレばMacで開発も可能!?

4 件のコメント:

Unknown さんのコメント...

GPL CVer
http://www.pragmatic-c.com/gpl-cver/
を使うというのはどうでしょう!
Linuxで動くということはMacでもOK?

Ein さんのコメント...

verification 3.0さん

コメントありがとうございます。

フリーのシミュレータとしてはCverも有名ですね。
私はまだ使った経験はないのですが、iverilogと比べてどうなんでしょうか?
ご意見をいただけると幸いです。

ちなみに↓こちらのベンチマークによると
http://www.veripool.com/verilog_sim_benchmarks.html
若干、iverilogのほうが実行速度は速いようです。
(ここにVeritakが入っているのがステキ)

SystemCを使う方はVerilatorがよさげですね。
使ってみたいのですが、SystemCについてはまともに使えるほど勉強できていません。。。

Macなんかで開発できるとなんとなく"デザイナー"っぽくていいですね。
なぜうちらは"design"やってるのに"エンジニア"なんだろう?

匿名 さんのコメント...

iBook G4 に MacPorts を使って iverilog と gtkwave を入れてみました。なにも考えずにインストールできましたよ。
cver の ports もあるのかなぁ。

Ein さんのコメント...

ひらいさん

"MacPorts"というものがあるんですね。
知りませんでした。

Macはほとんど経験がないもので。

Leopardも出たので、自宅のデスクトップはMacにしようかと真剣に検討中です。

あとは各種商用のEDAツールもMacに対応してくれたらホントに仕事で使えるんですけどねぇ。